ステートマシン

自分の書いているステートマシンはムーア型だと思っていたが、冷静に考えると制御信号がFF出力になっているミーリ型だった。
ミーリ・マシン - Wikipedia
ムーア・マシン - Wikipedia
一つのprocess文なりalways文の中で、"ステートの移行"と"制御信号の出力"をまとめて書いていて、入力との組み合わせで即変化するのではなく、ステート更新時に制御信号がアップデートされるのでムーア型だと思っていた。
しかし、"ステートの移行"だけを入力信号に対する条件分岐で行えばムーア型だが、"制御信号の出力"も入力信号に対する条件分岐の中に入っている時点で、ミーリ型になってる。


というか、純粋なムーア型だと制御信号の取りうる組み合わせ分ステートがいるので、ある程度複雑な制御対象だとステート数ばかり多くなって書きにくくなりそう。
とりあえず今のFF出力ミーリ型でいいか…