2013-03-07から1日間の記事一覧

SDRAM PLLの設定

SDRAM動作周波数を限界近くまで上げるべく、terasicのPLLが-60度に設定している、プロセッサクロックとSDRAMクロックのずれがどうやって算出されたか調べた。 算出方法自体はAlteraのドキュメントに書いてある。 SDRAMへ供給しているクロックの立ち上がりが…

非同期確認2

前回気になった以下の2点の確認。 クロック変換するスレーブが複数いた場合クロック変換モジュールはスレーブごとに作られるのか、クロックドメインごとか クロック変換モジュールはQsysのコンポーネントとして作成することもできるが、暗黙的に生成されるも…